Verilog is a hardware description language (HDL) that helps designers create and simulate digital systems. In Verilog, you can work with both individual bits (scalar) and groups of bits (vectors). This article explains how scalar and vector variables work in Verilog, how to select specific bits or groups of bits, and common errors to avoid.

Scalar and Vector in Verilog

What is a Scalar?

A scalar in Verilog is a single bit, typically used for basic operations. When you declare a variable without specifying a range, it is a scalar. A scalar variable can be either a wire or a reg.

What is a Vector?

A vector in Verilog is a collection of bits, such as an 8-bit, 16-bit, or even a 32-bit group. You create a vector by specifying a range of bits in your declaration. For example, an 8-bit vector represents a set of 8 bits that can be addressed individually.

Examples of Scalar and Vector Declarations

Here are some simple examples of scalar and vector declarations:

DeclarationTypeDescription
wire o_nor;ScalarSingle-bit wire variable
wire [7:0] o_flop;Vector (8-bit)8-bit wire vector variable
reg parity;ScalarSingle-bit reg variable
reg [31:0] addr;Vector (32-bit)32-bit reg variable to store an address

In the case of vectors, you specify a range in the form [msb:lsb], where msb is the most significant bit, and lsb is the least significant bit. Here’s an example:

wire [15:0] priority; // 16-bit vector: msb = 15, lsb = 0

Note that the msb and lsb values must be constant expressions and cannot be variables.

Valid and Invalid Vector Ranges

  • Valid Range: wire [15:0] priority; (16-bit vector)
  • Invalid Range: wire [my_msb: 2] prior; (The range must be constant, not a variable)

Bit-selects in Verilog

Bit-selects allow you to access and modify a specific bit in a vector. This is useful when you need to change or examine individual bits in a vector variable. For example:

reg [7:0] addr;  // 8-bit register variable

addr[0] = 1;  // Assign 1 to the 0th bit of addr
addr[3] = 0;  // Assign 0 to the 3rd bit of addr

However, be careful not to access bits that do not exist in the vector. For example:

addr[8] = 1;  // Error: addr only has 8 bits (0-7)

If you try to access a bit that is out of bounds or contains an undefined value (like x or z), the result will also be undefined (x).

Part-selects in Verilog

A part-select allows you to select a range of contiguous bits from a vector. There are two types of part-selects:

  1. Constant Part-select: The bit range is fixed.
  2. Indexed Part-select: The bit range can be adjusted during execution.

Example of a Constant Part-select:

reg [31:0] addr;
addr[23:16] = 8'h23;  // Assign the value 0x23 to bits 23 through 16

Example of an Indexed Part-select:

In this example, an indexed part-select is used to access different parts of the data vector in a loop. The bit range is flexible, making it easier to manipulate different sections of the vector.

module example;
  reg [31:0] data;
  integer i;

  initial begin
    data = 32'hFACE_CAFE;  // Initial value for data
    for (i = 0; i < 4; i++) begin
      $display("data[8*%0d +: 8] = 0x%0h", i, data[8*i +: 8]);  // Select 8-bit chunks
    end

    $display("data[7:0]   = 0x%0h", data[7:0]);
    $display("data[15:8]  = 0x%0h", data[15:8]);
    $display("data[23:16] = 0x%0h", data[23:16]);
    $display("data[31:24] = 0x%0h", data[31:24]);
  end
endmodule

Simulation Output:

data[8*0 +: 8] = 0xfe
data[8*1 +: 8] = 0xca
data[8*2 +: 8] = 0xce
data[8*3 +: 8] = 0xfa

data[7:0]   = 0xfe
data[15:8]  = 0xca
data[23:16] = 0xce
data[31:24] = 0xfa

Common Errors in Verilog

Sometimes, errors occur when using part-selects or accessing bits incorrectly. Here’s a common mistake:

Example of an Error:

module tb;
   reg [15:0] data;

   initial begin
      $display("data[0:9] = 0x%0h", data[0:9]);  // Error: Reversed part-select index expression
   end
endmodule

Error Explanation: In Verilog, part-select indices should be specified in ascending order. The expression [0:9] is invalid because the least significant bit (0) should be the smaller index. It should be reversed to [9:0].

Conclusion

Understanding scalars, vectors, bit-selects, and part-selects in Verilog is essential for working with digital systems. These tools allow you to manipulate individual bits or groups of bits in your designs, giving you flexibility and control over your hardware description.

By following the guidelines and avoiding common errors, you can effectively use Verilog to design robust and efficient digital systems.

Scroll to Top